<dfn id="w48us"></dfn><ul id="w48us"></ul>
  • <ul id="w48us"></ul>
  • <del id="w48us"></del>
    <ul id="w48us"></ul>
  • 基于CPLD的三相多波形函數發生器設計

    時間:2024-06-05 15:04:33 理工畢業論文 我要投稿
    • 相關推薦

    基于CPLD的三相多波形函數發生器設計

    摘要:介紹了基于可編程邏輯器件CPLD和直接數字頻率合成技術(DDS)的三相多波形函數發生器的基本原理,并在此基礎上給出了基于CPLD的各模塊設計方法及其VHDL源程序。

    1 引言

    直接數字頻率合成 ?Direct Digital Synthesis ,DDS?是20世紀60年代末出現的第三代頻率合成技術。該技術從相位概念出發,以Nyquist時域采樣定理為基礎,在時域中進行頻率合成。DDS頻率轉換速度快,頻率分辨率高,并在頻率轉換時可保持相位的連續,因而易于實現多種調制功能。DDS是全數字化技術,其幅度、相位、頻率均可實現程控,并可通過更換波形數據靈活實現任意波形。此外,DDS易于單片集成,體積小,價格低,功耗小,因此DDS技術近年來得到了飛速發展,其應用也越來越廣泛。

    基于CPLD和DDS技術的函數發生器可以實現信號波形的多樣化,而且方便可靠,簡單經濟,系統易于擴展,同時可大大提高輸出信號的帶寬。

    2 系統原理

    2.1 CPLD內部設計

    CPLD的內部結構框圖如圖1所示,圖中,首先由控制寄存器將外部控制器(如單片機)送入的數據轉換為頻率和幅度控制字;然后再由分頻器根據頻率控制字進行分頻并將輸出作為尋址計數器的時鐘;尋址計數器的尋址空間為360字節,可對ROM中的查找表進行尋址;而通過模360加法器可以產生120°的相位差。

    2.2 CPLD的外圍電路

    圖2所示是CPLD的外圍電路連接圖。圖中,CPLD幅度控制字經D/A轉換輸出后,可作為查找表輸出DAC的參考電壓,該參考電壓可通過改變幅度控制字來進行改變,從而改變輸出信號的幅度。

    3。茫校蹋母髂K的設計

    3.1 控制寄存器的設計

    控制寄存器設計主要是將外部控制器輸入的數據轉換為頻率和幅度控制字。其程序代碼如下:

    --////////////調庫////////////--

    entity controller is

    port(clk:in std_logic;

    datain:in std_logic;

    ad:out std_logic_vector(16 down to 0);

    freq:out std_logic_ vector(16 down to 0));

    end;

    architecture dataflow of controller is

    signal out1:std_logic_vector(16 down to 0);

    begin

    s2p:process(clk,datain)

    variable temp:std_logic_vector(16 down to 0);?

    begin

    if clk'event and clk=‘1’ then

    temp:=temp(15 down to 0)&datain;

    end if;

    out1<=temp;

    end process s2p;

    mux:process(out1(16))

    begin

    if out1(16)=‘1’ then

    ad<=out1(15 down to 0); --1號寄存器為幅度控制字

    else

    freq<=out1(15 downto 0); --0號寄存器為頻率控制字

    end if;

    end process mux;

    end;

    圖3

    3.2 分頻比可變的分頻器模塊設計

    該設計主要是根據頻率控制字決定分頻倍數,從而輸出與頻率控制字相對應的頻率時鐘,此模塊的輸出可作為尋址計數器的時鐘。具體代碼如下:

    process?clk? --clk為外部時鐘(如晶振)

    variable temp,fen:std_logic_vector(15 down to 0);?

    constant temp1:std_logic_vector(15 down to 0):=“1111111111111111”;

    variable a:std_logic;

    begin

    fen:=temp1-fen_in; --使分頻后的頻率正比于頻率控制字

    if clk=‘1’ and clk'event then

    if temp=(‘0’&fen(15 down to 1)) then --相當于除2運算

    a:=not a;

    temp:=temp+1;

    elsif temp=fen then

    a:=not a;

    temp:=“0000000000000000”;

    else

    temp:=temp+1;

    end if;

    end if;

    fen out<=a; --fen out 為輸入時鐘的頻率fen倍分頻

    end process;

    3.3 尋址計數器設計

    尋址計數器主要用于產生對ROM尋址輸出波形數據的尋址信號,尋址空間為360字節,具體的程序代碼如下:

    process(clk)

    variable temp:integer rang

    【基于CPLD的三相多波形函數發生器設計】相關文章:

    基于FPGA的多功能波形信號發生器11-22

    基于單片機技術的波形發生器采用單片機設計(一)03-07

    基于FPGA的DDS信號發生器的設計03-03

    基于DDR SDRAM的信號發生器設計與實現03-30

    基于CPLD/FPGA的半整數分頻器的設計03-18

    基于CPLD的系統中I2C總線的設計03-18

    基于CPLD的高壓電力線FSK MODEM設計03-18

    一種基于CPLD的PWM控制電路設計03-19

    基于軟件無線電的GPP通信波形軟件設計方法03-11

    主站蜘蛛池模板: 精品一区二区久久| 桃花岛精品亚洲国产成人| 99re6这里有精品热视频| 国产精品v欧美精品v日本精| 久久香蕉国产线看观看精品yw| 国产精品亚洲综合专区片高清久久久| 亚洲AV日韩精品久久久久久| 国产精品网址在线观看你懂的| 国产人妖乱国产精品人妖| 久久久久九九精品影院| 国产精品电影网| 日产精品一线二线三线芒果| 久久这里只有精品视频99| 欧美日韩精品一区二区| 久久99精品久久久久久久不卡| 欧美日韩成人精品久久久免费看 | 欧美亚洲国产成人精品| 日韩精品久久无码人妻中文字幕 | 精品久久久久久| 日韩精品国产另类专区| 亚洲永久精品ww47| 亚洲精品一级无码鲁丝片| 91精品国产91久久久久久青草 | 久久久久99精品成人片欧美 | 国产午夜精品理论片久久影视 | 国产美女久久精品香蕉69| 亚洲精品无码乱码成人 | 精品成人av一区二区三区| 亚洲成人精品久久| 国内精品一级毛片免费看| 国产福利91精品一区二区| 99精品人妻无码专区在线视频区| 久久久精品国产sm调教网站| 久热这里只有精品视频6| 无码囯产精品一区二区免费| 亚洲国产精品无码专区| 最新国产精品无码| 无码人妻精品一区二区三区久久 | 91精品国产品国语在线不卡| 中文字幕成人精品久久不卡| 亚洲国产精品自在在线观看|